CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计算器 vhdl

搜索资源列表

  1. jishuqi.rar

    0下载:
  2. 描述的是一个带有异步复位和同步时钟使能的十进制加法计算器,,With reset and clock enable decimal calculator
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-23
    • 文件大小:29658
    • 提供者:谭海洋
  1. 用VHDL编写的计算器

    3下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算 ,Prepared using VHDL Calculator: able to achieve simple addition and subtraction, multiplication and division 4 computing
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:3913
    • 提供者:邓法群
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. VHDL语言写的简易计算器

    3下载:
  2. 用VHDL写的简易计算器,包括加减乘除,除法器用加法器和乘法器组成-Write simple calculator with VHDL, division, including add, subtract, multiply and divide adder on time-multiplier and used
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-22
    • 文件大小:1018784
    • 提供者:倪萍波
  1. calculator

    0下载:
  2. VHDL编写计算器,功能包括:加,减,乘,除。通过keypad输入及输出-Calculator written with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:314504
    • 提供者:hodog
  1. VHDL

    0下载:
  2. 计算器,可实现加减乘除运算并包含数码显示与输入部分。-Calculators, multiplication and division addition and subtraction operations can be realized and includes digital display and input section.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:9260
    • 提供者:寄尘
  1. verilog

    0下载:
  2. 组成原理的大作业,写一个计算器,用verilog语言写的-The composition of the major principles of operation, write a calculator, using the language written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8944097
    • 提供者:陈江
  1. 61EDA_D1051

    0下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:24720
    • 提供者:缺打打
  1. erwertwerwe

    1下载:
  2. 用VHDL编写的计算器:能实现简单的加减乘除四则运算-Prepared using VHDL calculator: to achieve simple addition and subtraction, multiplication and division four computing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11093
    • 提供者:缺打打
  1. jisuanqi

    0下载:
  2. 用VHDL语言实现通用计算器设计,MUXPLUS2软件仿真验证-Implementation using VHDL language design generic calculator, MUXPLUS2 software simulation to verify
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1067377
    • 提供者:DAVID
  1. calculation2[1]

    0下载:
  2. vhdl语言实现加减乘除计算器设计主程序模块-calculator vhdl language design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5246
    • 提供者:juice
  1. ALU_VHDL_code

    1下载:
  2. ALU逻辑运算单元计算器的VHDL源代码,已通过FGPA验证,绝对正确。-ALU ALU calculator VHDL source code has been verified by FGPA absolutely correct.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:5208
    • 提供者:周州
  1. 61EDA_D1051

    0下载:
  2. VHDL实现的计算器,能够运行加减乘除简单计算。-VHDL achieved calculators, multiplication and division addition and subtraction operation
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-07
    • 文件大小:24449
    • 提供者:Hunter
  1. Calculator_altera

    0下载:
  2. 简易计算器,采用VHDL编写,能进行加减运算-Simple calculator, using VHDL writing, addition and subtraction operations can be carried out
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:438139
    • 提供者:chen
  1. test

    2下载:
  2. VHDL代码 键盘扫描,数码管静态移位显示,类似于计算器显示数据-VHDL code
  3. 所属分类:其他小程序

    • 发布日期:2014-03-28
    • 文件大小:68036
    • 提供者:王莹
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. VHDL

    0下载:
  2. 计算器实现 功能简单容易实现 可自我调试至更强大性能,不喜勿下-Calculator features simple and easy to achieve self-commissioning to a more powerful performance, do not like not under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5328
    • 提供者:shangrrw
  1. verilog_calculator

    1下载:
  2. 用verilog编写的简易计算器代码。通过一位全加器组成电路,可以实现加法、减法和乘法,并在七段数码管上显示出十进制的结果。-Simple calculator with code written in verilog. Composed by a full adder circuit, can add, subtract and multiply, and in the seven-segment LED display on the decimal result.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:15920
    • 提供者:刘涛
  1. vhdl-Classic-examples

    0下载:
  2. 提供了很多常用的硬件描述语言的算法,如移位器,计算器,与或非门的基本写法-Provides many commonly used algorithms hardware descr iption language, such as shifters, calculators, and basic wording of NOR gates, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:168906
    • 提供者:以利亚
  1. vhdl---calculator

    0下载:
  2. 基于vhdl语言编写的简易计算器程序,其中主要功能有加减乘和清除,确定等,可实习现连续运算。输出使用七段数码管输出,输入采用拨码开关的方式输入。若计算结果超过99999,蜂鸣器自动报警。-Vhdl language based on simple calculator program, where the main function, subtraction, multiplication and clear, determined, can now practice continuous op
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1817518
    • 提供者:张圆
« 12 3 »
搜珍网 www.dssz.com